Magnifying Glass
Search Loader

Author: Ramesh Harjani

Support
Mustafijur Rahman is a Research Scientist at Intel Labs, Hillsboro, Oregon where he is working on advanced transceiver architectures and synthesizers.  His research interest is in the field of radio frequency/mm Wave integrated circuit design. He has worked as Senior Hardware Engineer at Qualcomm Atheros Inc., San Jose, California during 2016 to 2017. He received the M.S. and Ph.D. degrees in Electrical Engineering from the University of Minnesota, Twin Cities in 2014 and 2016 respectively. During his Ph D he has designed IEEE 802.15.6 compliant low power 2.4 GHz transceiver for Wireless Body Area Networks. He has top to bottom level radio frequency integrated circuit design experience i.e. from system level standard specifications to circuit level implementation. He was the recipient of the University of Minnesota Doctoral Dissertation Fellowship 2015, the Best in Session Awards at TECHCON 2014, 2015, and 2016, and the Gold Medal for the Best Engineering Graduate 2009 at NIT Silchar.  Ramesh Harjani received the B.S. degree from the Birla Institute of Technology and Science, Pilani, India, in 1982, the M.S. degree from IIT Delhi, New Delhi, India, in 1984, and the Ph.D. degree from Carnegie Mellon University, Pittsburgh, PA, USA, in 1989, all in electrical engineering. In 2001, he co-founded Bermai, Inc., Palo Alto, CA, USA, a startup company developing CMOS chips for wireless multimedia applications. Prior to joining the University of Minnesota, he was with Mentor Graphics Corporation, San Jose, CA, USA. He has been a Visiting Professor with Lucent Bell Labs, Allentown, PA, USA, and the Army Research Labs, Adelphi, MD, USA. He is currently the Edgar F. Johnson Professor with the Department of Electrical and Computer Engineering, University of Minnesota. His current research interests include analog/RF circuits for communications. Dr. Harjani received the National Science Foundation Research Initiation Award in 1991 and the Best Paper Awards at the 1987 IEEE/ACM Design Automation Conference, the 1989 International Conference on Computer-Aided Design, the 1998 GOMAC, and the 2007, 2010, and 2012 TECHCONs. His research group was a recipient of the SRC Copper Design Challenge in 2000 and the SRC Si Ge challenge in 2003. He was the Technical Program Chair of the IEEE Custom Integrated Circuits Conference from 2013 to 2014. He was the Chair of the IEEE Circuits and Systems Society Technical Committee on Analog Signal Processing from 1999 to 2000 and a Distinguished Lecturer of the IEEE Circuits and Systems Society from 2001 to 2002. He was an Associate Editor of the IEEE Transactions on Circuits and Systems II from 1995 to 1997, a Guest Editor of the International Journal of High-Speed Electronics and Systems and Analog Integrated Circuits and Signal Processing in 2004, and a Guest Editor of the IEEE Journal of Solid-State Circuits from 2009 to 2011. He was a Senior Editor of the IEEE Journal on Emerging and Selected Topics in Circuits and Systems from 2011 to 2013. 




9 Ebooks by Ramesh Harjani

Yongwang Ding & Ramesh Harjani: High-Linearity CMOS RF Front-End Circuits
This book focuses on high performance radio frequency integrated circuits (RF IC) design in CMOS. 1. Development of radio frequency ICs Wireless communications has been advancing rapidly in the past …
PDF
English
DRM
€96.29
Taehyoun Oh & Ramesh Harjani: High Performance Multi-Channel High-Speed I/O Circuits
This book describes design techniques that can be used to mitigate crosstalk in high-speed I/O circuits. The focus of the book is in developing compact and low power integrated circuits for crosstalk …
PDF
English
€96.29
Bodhisatwa Sadhu & Ramesh Harjani: Cognitive Radio Receiver Front-Ends
This book focuses on the architecture and circuit design for cognitive radio receiver front-ends. The authors first provide a holistic explanation of RF circuits for cognitive radio systems. This is …
PDF
English
€96.29
Mustafijur Rahman & Ramesh Harjani: Design of Low Power Integrated Radios for Emerging Standards
This book describes novel and disruptive architecture and circuit design techniques, toward the realization of low-power, standard-compliant radio architectures and silicon implementation of the circ …
PDF
English
€90.94
Mohammad Elbadry & Ramesh Harjani: Quadrature Frequency Generation for Wideband Wireless Applications
This book describes design techniques for wideband quadrature LO generation for software defined radio transceivers, with frequencies spanning 4GHz to around 80GHz. The authors discuss several techni …
PDF
English
€96.29
Rakesh Kumar Palani & Ramesh Harjani: Inverter-Based Circuit Design Techniques for Low Supply Voltages
This book describes intuitive analog design approaches using digital inverters, providing filter architectures and circuit techniques enabling high performance analog circuit design. The authors prov …
PDF
English
€93.08
Ramesh Harjani: Design Of High-speed Communication Circuits
MOS technology has rapidly become the de facto standard for mixed-signal integrated circuit design due to the high levels of integration possible as device geometries shrink to nanometer scales. The …
PDF
English
DRM
€219.99
Liang Dai & Ramesh Harjani: Design of High-Performance CMOS Voltage-Controlled Oscillators
Design of High-Performance CMOS Voltage-Controlled Oscillators presents a phase noise modeling framework for CMOS ring oscillators. The analysis considers both linear and nonlinear operation. It …
PDF
English
DRM
€162.14
Ramesh Harjani & Feng Wang: Design of Modulators for Oversampled Converters
Oversampled A/D converters have become very popular in recent years. Some of their advantages include relaxed requirements for anti-alias filters, relaxed requirements for component matching, high …
PDF
English
DRM
€111.56