Loupe
Search Loader

Joao Manuel Paiva Cardoso & Jose Gabriel de Figueiredo Coutinho 
Embedded Computing for High Performance 
Efficient Mapping of Computations Using Customization, Code Transformations and Compilation

Support
Embedded Computing for High Performance: Design Exploration and Customization Using High-level Compilation and Synthesis Tools provides a set of real-life example implementations that migrate traditional desktop systems to embedded systems. Working with popular hardware, including Xilinx and ARM, the book offers a comprehensive description of techniques for mapping computations expressed in programming languages such as C or MATLAB to high-performance embedded architectures consisting of multiple CPUs, GPUs, and reconfigurable hardware (FPGAs). The authors demonstrate a domain-specific language (LARA) that facilitates retargeting to multiple computing systems using the same source code. In this way, users can decouple original application code from transformed code and enhance productivity and program portability. After reading this book, engineers will understand the processes, methodologies, and best practices needed for the development of applications for high-performance embedded computing systems. Focuses on maximizing performance while managing energy consumption in embedded systems Explains how to retarget code for heterogeneous systems with GPUs and FPGAs Demonstrates a domain-specific language that facilitates migrating and retargeting existing applications to modern systems Includes downloadable slides, tools, and tutorials
€79.12
méthodes de payement
Langue Anglais ● Format EPUB ● Pages 320 ● ISBN 9780128041994 ● Maison d’édition Elsevier Science ● Publié 2017 ● Téléchargeable 3 fois ● Devise EUR ● ID 5199922 ● Protection contre la copie Adobe DRM
Nécessite un lecteur de livre électronique compatible DRM

Plus d’ebooks du même auteur(s) / Éditeur

3 562 Ebooks dans cette catégorie